Skip Navigation

InGameLoop relies on readers. We may earn commissions when you purchase through our links. Check Affiliate Disclosure

Intel Secures Cutting-Edge Lithography Tools: Acquiring Six Out of Ten High-NA EUV Machines from ASML in 2024

In a significant stride towards technological advancement, Intel is set to acquire six out of...

Intel Secures Cutting-Edge Lithography Tools: Acquiring Six Out of Ten High-NA EUV Machines from ASML in 2024

In a significant stride towards technological advancement, Intel is set to acquire six out of the ten high-NA (numerical aperture) extreme ultraviolet (EUV) lithography tools produced by ASML in the coming year, according to a report by industry analyst TrendForce. This move positions Intel as a pioneer in harnessing the power of cutting-edge lithography technology for semiconductor manufacturing.

The acquisition strategy underscores Intel’s commitment to staying at the forefront of innovation in the semiconductor industry. The high-NA lithography tools are instrumental in achieving finer details and enhancing the precision of chip manufacturing processes.

The report indicates that Intel’s procurement of these state-of-the-art tools signifies a strategic push towards utilizing Twinscan EXE machines extensively. This includes the acquisition of the Twinscan EXE:5000 pilot scanner, aimed at providing Intel with invaluable insights into the optimal utilization of high-NA EUV lithography for commercial chip production.

Intel Secures Cutting-Edge Lithography Tools:

Originally intended for application in the Intel 18A production node, the deployment of high-NA EUV lithography tools was postponed due to unforeseen delays. Instead, Intel opted for EUV multi-patterning to print the smallest features possible. The Twinscan EXE:5000 pilot scanner is now poised to play a crucial role in bridging the gap between theory and application, allowing Intel to leverage the advantages of high-NA lithography for future production nodes.

In addition to the initial acquisition, Intel’s plans include obtaining an additional six high-NA EUV litho tools, specifically the Twinscan EXE:5200 scanners, in the following year. These tools are earmarked for mass production of chips utilizing Intel’s 18A node or other advanced process technologies slated for deployment in 2025 and beyond.

While the adoption of Twinscan EXE machines is expected to positively impact Intel’s production cycles, industry observers remain cautious about the potential cost implications. The high-NA lithography tools come with a considerable price tag, estimated to be in the range of $300 million to $400 million. This poses a challenge for Intel in managing production costs, as these machines surpass the already expensive Twinscan NXE:3600D or NXE:3800E, which exceed $200 million.

Intel Secures Cutting-Edge Lithography Tools: Acquiring Six Out of Ten

The shift to high-NA lithography tools also introduces a change in usage dynamics. With a two-times smaller reticle size, these tools necessitate a different approach compared to conventional EUV machines. Intel’s ability to adapt and optimize their usage will play a crucial role in maximizing the benefits of this cutting-edge technology.

Intel’s strategic move to acquire the majority of ASML’s high-NA EUV lithography tools positions the company ahead of its rivals in high-NA learning. By likely being the first to initiate high-volume production with these advanced tools, Intel is set to shape the industry’s landscape. The requirements set by Intel are expected to influence industry standards, providing the company with a strategic advantage over competitors such as TSMC and Samsung Foundry.

In response to Intel’s advancements, Samsung Electronics has also announced its intentions to procure high-NA tools. Samsung’s vice chairman and head of the device solutions division, Kyung Kye-hyun, stated that the company has secured priority access to high-NA equipment technology. This move is seen as a strategic opportunity for Samsung to optimize the usage of high-NA technology for the long-term production of DRAM memory chips and logic chips.

As Intel takes a giant leap into the realm of cutting-edge lithography tools, the semiconductor industry eagerly anticipates the impact of these advancements on future chip manufacturing and the competitive landscape. The race to harness the capabilities of high-NA EUV lithography tools is now more intense than ever, with Intel poised to lead the way into the next era of semiconductor innovation.

Intel B760M Motherboard Debuts With Mobile Raptor Lake CPUs, Vapor Chamber Cooling

M3 is NOT as fast as Snapdragon X Elite — Intel and AMD have closed the gap as well